开发板名为ReflexCES的XpressK7-325-Gen2。
Kintex7配置为BPI模式,并使用EMCCLK时钟,如附图所示。
我的设计是使用带有Xilinx参考设计的IP PCIE内核。
位文件始终对FPGA进行编程,但加载到Flash中的MCS文件在上电后不能对FPGA进行编程,因为Done位从不为高。
接下来我包括以下两行:
set_property CONFIG_VOLTAGE 2.5 [current_design] set_property CFGBVS VCCO [current_design]
然后我包含了一个IOSTANDARD来定义BANK14,正如XAPP中提到的那样,如果使用的话
EMCCLK这个BANK14应该用IOSTANDARD来定义。
如果我定义CONFIG_VOLTAGE,则不需要这样做。
set_property PACKAGE_PIN E21 [get_ports z]
set_property IOSTANDARD LVCMOS25 [get_ports z]
我使用以下附加脚本来创建MCS文件。
一切看起来都不错,但似乎无法从Flash中成功获得FPGA程序。
我注意到随着我的设计的增长,我能够从Flash编程。
但这不应该。
最好的问候,
汤姆
my_bit_mcs_script.txt 2 KB
开发板名为ReflexCES的XpressK7-325-Gen2。
Kintex7配置为BPI模式,并使用EMCCLK时钟,如附图所示。
我的设计是使用带有Xilinx参考设计的IP PCIE内核。
位文件始终对FPGA进行编程,但加载到Flash中的MCS文件在上电后不能对FPGA进行编程,因为Done位从不为高。
接下来我包括以下两行:
set_property CONFIG_VOLTAGE 2.5 [current_design] set_property CFGBVS VCCO [current_design]
然后我包含了一个IOSTANDARD来定义BANK14,正如XAPP中提到的那样,如果使用的话
EMCCLK这个BANK14应该用IOSTANDARD来定义。
如果我定义CONFIG_VOLTAGE,则不需要这样做。
set_property PACKAGE_PIN E21 [get_ports z]
set_property IOSTANDARD LVCMOS25 [get_ports z]
我使用以下附加脚本来创建MCS文件。
一切看起来都不错,但似乎无法从Flash中成功获得FPGA程序。
我注意到随着我的设计的增长,我能够从Flash编程。
但这不应该。
最好的问候,
汤姆
my_bit_mcs_script.txt 2 KB
举报