【每周一练】盘古1K开发板 练习二:按键控制LED灯实验 - FPGA开发者技术社区 - 电子技术william hill官网 - 广受欢迎的专业电子william hill官网 - 威廉希尔官方网站
分享 收藏 返回

[文章]

【每周一练】盘古1K开发板 练习二:按键控制LED灯实验

  1. 创建PDS工程(参考MES1KGMES2KG\MES2KG\工具篇\PDS快速使用手册.docx)
  2. 按照要求编写LED流水线程序(参考MES1KGMES2KG\MES2KG\demo\3_key_led_rgb)
  3. 注意参考例子是2KG,需要配置为1KG
    66d93772fce38fa64e493d53e269d4f.png
  4. generate bitstream并下载到板子上
  5. 成功!(见顶部视频)

魔改一下?

  1. 根据原理图,把按键改到KEY2(61)
    image.png
    1701605252569.png
  2. 注意到原例程的8个颜色,其中一个是全黑,一个是全亮,改一下试试效果。(见底部视频)
    image.png

按键控制LED灯_魔改

回帖(1)

醉清歌

2023-12-5 11:57:04
给大佬点赞

更多回帖

×
发帖