FPGA|CPLD|ASICwilliam hill官网
直播中

高才

11年用户 76经验值
擅长:可编程逻辑 CRF/无线
私信 关注
[问答]

CPLD和VERILOG语言之间怎么协同工作的

本人初学CPLD/FPGA,现有一疑问:用verilog写的一个项目的程序,读起来类似于C语言那种一条一条执行的指令,这程序烧到CPLD芯片里后是怎么工作的,芯片会自己搭一个门威廉希尔官方网站 出来吗?

回帖(3)

日拱一卒

2013-10-1 17:02:48
现在有Verilog,VHDL,SystemC等多种硬件描述语言。你说的这种芯片叫可编程芯片(FPGA、CPLD)。具体如何把硬件描述语言变成可下载到芯片的配置文件,是一个比较复杂的过程。Xilinx和Altera是世界两大可编程芯片供应商,都提供开发工具和芯片。可以到网上搜一些相关资料看一下,达到用一种硬件描述语言顺利开发一些威廉希尔官方网站 功能模块,就算入门了。要想学精,也不容易啊。
举报

高才

2013-10-1 22:57:02
引用: fantek 发表于 2013-10-1 17:02
现在有Verilog,VHDL,SystemC等多种硬件描述语言。你说的这种芯片叫可编程芯片(FPGA、CPLD)。具体如何把硬 ...

多谢你了,CPLD是什么东西我已经了解过了,他的结构我也知道,我想问的是我用VERILOG 写的程序怎么烧到CPLD里就变成了某种数字门威廉希尔官方网站 了
举报

日拱一卒

2013-10-1 23:02:24
引用: zcswinner 发表于 2013-10-1 22:57
多谢你了,CPLD是什么东西我已经了解过了,他的结构我也知道,我想问的是我用VERILOG 写的程序怎么烧到CP ...

简单地说CPLD中已经有你所需要的威廉希尔官方网站 基本单元,你的程序只是把它们连接起来,形成功能威廉希尔官方网站 而已。你可以分析一下由Verilog文件经过综合之后形成的配置文件格式。
举报

更多回帖

发帖
×
20
完善资料,
赚取积分