FPGA|CPLD|ASICwilliam hill官网
直播中

不学不会

10年用户 183经验值
擅长:可编程逻辑 电源/新能源 MEMS/传感技术 测量仪表 嵌入式技术 制造/封装 模拟技术 连接器 EMC/EMI设计 光电显示 存储技术 EDA/IC设计 处理器/DSP 接口/总线/驱动 控制/MCU RF/无线
私信 关注
[问答]

在Quartus ii 13.1中进行波形仿真时出现错误,求大神解答

在Quartus ii 13.1中进行波形仿真时出现错误,
# ** Error: parity.vwf.vt(30): near ",": syntax error, unexpected ','
# ** Error: C:/altera/13.1/modelsim_ase/win32aloem/vlog failed.
# Executing ONERROR command at macro ./parity.do line 4

但是这个程序编译时并没有错误,其他程序仿真时也不出现这种错误,求大神解答这是怎么回事?如何解决?

回帖(1)

笨笨の飛蛾

2016-10-10 23:47:39
楼主会了么?我也遇到类似错误了
举报

更多回帖

发帖
×
20
完善资料,
赚取积分