FPGA|CPLD|ASICwilliam hill官网
直播中

zjg

8年用户 22经验值
擅长:可编程逻辑 嵌入式技术 接口/总线/驱动
私信 关注
[问答]

求助,怎么在Quartus 2 中实现输入2位的数据帧输出4位数据帧

求助,怎么在Quartus 2 中实现输入2位的数据帧输出4位数据帧!!!!!
已退回1积分

更多回帖

发帖
×
20
完善资料,
赚取积分