ࡱ> ` Ȉbjbj kJ ($bUbUbUPUfV+W["[[[ϘJ<U2444444$hCZX]r ϘX[[2GGG[[2G2GGD6[ W '3bUV&20+L GXX^+CbUbU  FPGAAm zcWS MR ,g@bbbvFPGANR;N/f$Nebv\O(u|~vSW[sTASICvSW0Q,gAm zvvv/f (WNĉte*NAm z [s_SvTt'`0N'`0ؚHe'`0 b_bΘ*B*UmHnHphuh2i0JmHnHujh2i0JUmHnHuh2imHnHujh2iUh2i h2iCJo( h2iCJh2iCJ4OJPJo(h2iCJ4OJPJQJ^Jo( h2io(   "$*. \ ^ ` \ > r > h  h  h $a$`^ & F$a$ Zƈ< > @ B z | ~     . 0 2 f h j l n p r t v ۷u۷d jqh2iUmHnHu,jh2i>*B*UmHnHphu jwh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JmHnHo(u,jh2i>*B*UmHnHphuh2i0JmHnHujh2i0JUmHnHuh2imHnHu'       H J L N Z b d f ̽ى~~g̽Vى~ jeh2iUmHnHu,jh2i>*B*UmHnHphuh2i0JmHnHuh2imHnHu jkh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JaJmHnHo(uh2i0JaJmHnHujh2i0JUmHnHu,jh2i>*B*UmHnHphu! 2468:<>@Bz|~}}fU} jYh2iUmHnHu,jh2i>*B*UmHnHphuh2imHnHu j_h2iUmHnHujh2iUmHnHuh2imHnHuh2i0JaJmHnHo(uh2i0JaJmHnHujh2i0JUmHnHu,jh2i>*B*UmHnHphuh2i0JmHnHu!>|"n:rJx T>D`d h  h  h &8:<prtvxz|~ķ}fYH jMh2iUmHnHuh2i0JmHnHo(u,jh2i>*B*UmHnHphu jSh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JaJmHnHo(uh2i0JaJmHnHujh2i0JUmHnHu,jh2i>*B*UmHnHphuh2i0JmHnHuh2imHnHu "$&^`bdlv&*,.b«žžviZh2i0JaJmHnHo(uh2i0JaJmHnHu,j h2i>*B*UmHnHphu jG h2iUmHnHuh2i0JmHnHo(u,jh2i>*B*UmHnHphuh2i0JmHnHuh2imHnHujh2i0JUmHnHujh2iUmHnHuh2imHnHu"bdfhjlnpr BDFHTZ\^żűō~mżűVō~,j h2i>*B*UmHnHphu j; h2iUmHnHuh2i0JaJmHnHo(uh2i0JaJmHnHu,j h2i>*B*UmHnHphuh2i0JmHnHuh2imHnHujh2i0JUmHnHuh2imHnHujh2iUmHnHu jA h2iUmHnHu!^.02468:<>vxz|żűō~mżűVō~,j h2i>*B*UmHnHphu j/ h2iUmHnHuh2i0JaJmHnHo(uh2i0JaJmHnHu,j h2i>*B*UmHnHphuh2i0JmHnHuh2imHnHujh2i0JUmHnHujh2iUmHnHu j5 h2iUmHnHuh2imHnHu!$.02fhjlnprtvĻİČ}lĻİUČ},jh2i>*B*UmHnHphu j#h2iUmHnHuh2i0JaJmHnHo(uh2i0JaJmHnHu,jh2i>*B*UmHnHphuh2i0JmHnHuh2imHnHujh2i0JUmHnHu j)h2iUmHnHuh2imHnHujh2iUmHnHu!  DFHJVZ\fhjżűō~~mżűV,jh2i>*B*UmHnHphu jh2iUmHnHuh2i0JaJmHnHo(uh2i0JaJmHnHu,jh2i>*B*UmHnHphuh2i0JmHnHuh2imHnHujh2i0JUmHnHu jh2iUmHnHujh2iUmHnHuh2imHnHu! >@BDFHJLN ɺɩɺ𕠕~qɺ`ɺ𕠕 j h2iUmHnHuh2i0JmHnHo(u,jh2i>*B*UmHnHphuh2i0JmHnHuh2imHnHu jh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JaJmHnHo(uh2i0JaJmHnHujh2i0JUmHnHu! "$,468lnprtvxz|  HJٍ΍veٍ΍ jh2iUmHnHu,jh2i>*B*UmHnHphuh2imHnHu jh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JmHnHo(uh2i0JmHnHujh2i0JUmHnHu,jh2i>*B*UmHnHphu"JLNV`tvxzHJLNPRTVXٍ΍veٍ΍ jh2iUmHnHu,jvh2i>*B*UmHnHphuh2imHnHu jh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JmHnHo(uh2i0JmHnHujh2i0JUmHnHu,j|h2i>*B*UmHnHphu$$&(*2DFH|~ٍ΍veٍ΍ jh2iUmHnHu,jjh2i>*B*UmHnHphuh2imHnHu jh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JmHnHo(uh2i0JmHnHujh2i0JUmHnHu,jph2i>*B*UmHnHphu"2468:<>@Bz|~̲̽ى~~g̽Vى~ jh2iUmHnHu,j^h2i>*B*UmHnHphuh2i0JmHnHuh2imHnHu jh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JaJmHnHo(uh2i0JaJmHnHujh2i0JUmHnHu,jdh2i>*B*UmHnHphu! ".HJL8ķ}fYYh2i0JmHnHo(u,jRh2i>*B*UmHnHphu jh2iUmHnHujh2iUmHnHuh2imHnHuh2i0JaJmHnHo(uh2i0JaJmHnHujh2i0JUmHnHu,jXh2i>*B*UmHnHphuh2i0JmHnHuh2imHnHu8:<>@BDFH Rżűō|żűeō,jFh2i>*B*UmHnHphu jh2iUmHnHuh2i0JmHnHo(u,jLh2i>*B*UmHnHphuh2i0JmHnHuh2imHnHujh2i0JUmHnHuh2imHnHujh2iUmHnHu jh2iUmHnHu RTVZ\^`bdjl  żwwwh2iCJmHnHo(ujh2iCJUmHnHuh2i5CJ,KH,\aJ,h2i5CJ,KH,\aJ,o( h2io(jh2iUh2imHnHujh2i0JUmHnHuh2imHnHujh2iUmHnHu jh2iUmHnHu.dfhjl $`v x z $a$ & F !!!!!!!!!!"""#$#(#*#,#6#<#>#@#B#J#P#T#X#Z#\###########$4$&&&&&&r)v)))))))))V*n*****+fhǽǽǽǽUh2iOJQJ^Jh2iOJQJ^Jo(h2ih2i5\o(jh2iCJUmHnHu h2io(h2iCJmHnHo(uJ l!n!!!!!!!!!!!!!!!!!!!h"j"l"""""`$a$""""""""""""# # #"#&#(#,#8#:#<#@#D#F#H#J#R#T#$a$T#Z#^#`#b#d#f#h#j###################x$$`$a$$$$D%j%|%%%%%%%%%&&|&&&L'' (((() & F  & F  & FH^H & F & F$a$)()B)f)r)))))*4****6+T+ff$g8ggh4hFhhhhdh` & F HVD^He[4] }6q[/f[Cv FO1uNVerilog HDLTCvb__^8^яN{͑vq_T KmՋoRv[Y'`Tw['`/fsQ.@b(W gN NSR{u_ KmՋoReQTT^QǑƖve^^S_|Q~RNwe^e Te^Nw g^e v`Q0 [NhTgYvKmՋ :NcؚHes =\SǑ(u z^Seg$ReT^NhQ~g/f&TN ~QbRbQh_ N/fǏ‰[lb_eg$Re0 Ǒ(uWNeNvKmՋ/f_}YvRl sS1umatlabbspwI{|~]wQNuKmՋpenc KmՋ z^\vQeQNuoR QbT^~gQeQ0ReN QN~ N]wQۏLYtbRg0 NwhV/ecQNN@b gvVerilog HDLl NNN/f8^(uvRTLvc ^S_)R(uُNpOKmՋ z^=\S{m0nZi {E^v=\ϑǑ(utaskegc0 3.2 O(uы^ (WۏLRNwTTNwegN!jWWvL:NNw!jWT蕧~Nw!jW YAltera Quartus̑v220model.vLPM!jWWL:NNw!jW Tapex20ke_atoms.v20KE|R蕧~Nw!jW :NMQ(W N TvvU_-NY!kыُN!jW ^S_Ǒ(uN!kы Y!kO(uvel0wQSOZPlY NN20KE蕧~^:NO  1(Wg*N]\OvU_ Ne^N^ T apex20ke \apex20ke_atoms.vы0RvQ-N0 2(WVb_Lub-NvLoad Design[݋Fh-NňeQNwe (WVerilog h~{ Nc[ы^v[te_0 NV  4. ;~T vMRS(uvFPGA~T]wQ gMentor Graphics v LeonardoSpectrum SynplicityvSynplifyTSynopsys vFPGA CompilerII/FPGA Express LeonardoSpectrum1uN'`T^g}Y b:NbN v~ThV FPGA CompilerII/FPGA Express1uNSNTDesign CompilerNx|Q[_NS(u0S[9] 4.1 ;~TvNNSR HDLNx~TT5u(ϑv}YOW;NSQN N*NebRTL[s/f&TTt0[S[hVNyrpvt㉌T[~ThVccv z^0S[10]-N gkhQbv0 4.1.1 sQNLeonardoSpectrum LeonardoSpectrum[~Tvc6RRk:_ FOO(u_Neu:N YBg Ee(WO(uMR=\ϑq`vQR MbS_}Yv~T~g0 S_Qs~T~g Nn~_gagNe N%`NO9eneN ^S_Ǐ~ThVcOve^TbyRg}TN~bQsQ.@b(W 6qTf9e~Tc6RbO9eNx0 (WLeonardoSpectrum 2000.1bNMRvHr,gQv .v Qh N(uNNw0 4.1.1 'Yĉ!jv~T RWW~T S_ĉ!j_'Ye ~T_NO9_Ye0YgSf9eg*N!jWWe SNRWW~T0Y g top.v S+T a.vTb.v$N*N!jWW S_SO9ea.vv݋ SNHQUSr~Tb.v QvQQhb.edf QN*Nb!jWWvўvP[cSb_syn.v k!kO9ea.vTS~Ttop.v0a.v0b_syn.v \~TTvQhTb.edfS^~ SN~~Tb!jWWve0 Ǒ(u,g}TN S_ĉ!jk'Ye ~Tc6R_NOk YBg SNQǑ(u,gc6ReNve_ۏL~Tc6R modelsim0LeonardoSpectrumTQuartus/ecTCLTool Command Language Ǒ(u,gc6RSNcOkVb_Lubfup;mTfeOvc6RKbk0 4.1.3 _{͑Ɖ]wQNuvfJTOo` ~T]wQ[ۏLYtSONuTyfJTOo` gN/fSN_euv FO^=\ϑSd NSd_{nxkagfJTv+TIN MQVdkOv[sNu`0 ُ*NSR[NwT^@\^~ T7h(u0 4.2 (u!jWWvўvP[Black box el O(uўvP[elvSV;N g$Np N/fHDLNx-N(uNNNFPGAS[cOv!jWWYAlteravLPM!jWW b,{ NecOvIP ُN!jWW N~T N gN~ThV_N N~TYFPGA CompilerII/FPGA ExpressSN~TS+TLPMvNx LeonardoSpectrum N 0Vdk{cON*NўvP[cS~~ThV @b(uv!jWW0R^@\^~eMbۏLޏc0 N/feONxvy i 1uNS[cOv!jWWb,{ NecOvIP8^/fN]z gsQv vc(WNx-N(uv݋\ N)RNO9e q_TNxy i0 NbN(uAlteravLPM^-NvXNlhV:NOegf0(uُ7hN*N!jWWُ7hN*NeNmult8x8.vS1uQuartusvMegaWizer Plug-in ManagerNu NxY N // mult8x8.v module mult8x8 (dataa, datab, result); input [7:0] dataa; input [7:0] datab; output [15:0] result; // exemplar translate_off // synopsys translate_off lpm_mult lpm_mult_component( .dataa (dataa), .datab (datab), .aclr (1'b0), .clock (1'b0), .clken (1'b0), .sum (1'b0), .result (result) ); defparam lpm_mult_component.lpm_widtha = 8, lpm_mult_component.lpm_widthb = 8, lpm_mult_component.lpm_widths = 16, lpm_mult_component.lpm_widthp = 16, lpm_mult_component.lpm_representation = "SIGNED", // exemplar translate_on // synopsys translate_on endmodule la NvNx g$N[ыc:y // exemplar translate_off T // exemplar translate_on LeonardoSpectrum/ec // synopsys translate_off T // synopsys translate_onLeonardoSpectrumTFPGA CompilerII/ec [Nv^v~ThV (WُNыc:y-NvS\O_eu bNSN w0R(W~TǏ z-N!jWWmult8x8[ESbNN*NS gI/O[INvzzvP[sSblack box @bNRvNxl gޏc (WQuartus^@\^~veP lpm!jWWvNxMbޏc0Rte*N (WNwveP ыc:y Nq_T!jWWv[te'`0 S [1]S~nnNSVerilog HDLYe z [2]Verilog HDLlxNc [3]eN4YlʑWW:yO [4]CvΘ@LNPRTZjl~ăƃԃփȽ뱹hd-P0JmHnHu h2i0Jjh2i0JUjh2iUh2ih2iOJQJ^Jo(h2iOJQJ^Jo(h2i5OJQJ\^Jo(j@h2iUo( h2io(jh2iCJUmHnHu;hhhhhhhhhhhhhhhhhhhHirii8jjZkk lVmm & Fm8n>n@nPnopppXqqHrfrprsst$uuuv8vwxxx@yfy` & Ffyyyyy"z\zzzzz {({N{X{l{{0|||^}}}}}}~~Lvv|ԀF΁,v҂Ԃ  "468&`#$8:<>TVXZjl~ăƃԃփ$a$%&#$(*68:FHJTnpr„΄Є$a$(*6:FHnp„΄Є*,:<JLXZ|~…ą "46Z\jl҆Ԇ 24PR`bnp‡ćև؇ ,.@BTVh2iB*o(ph h2io(h2i^ *,.:<>HJLXZ\h|~$a$…ąƅ҅  "468FZ\jlnz$a$҆Ԇֆ 246PRT^`bnp$a$‡ć̇և؇  ,.6@B$a$BJTV^lnvˆĈƈȈ$a$VlnˆƈȈh2i h2io( 0182P. A!"#$%S 70182PP. A!"#$%S }DyK _Toc521300281}DyK _Toc521300281}DyK _Toc521300282}DyK _Toc521300282}DyK _Toc521300283}DyK _Toc521300283}DyK _Toc521300284}DyK _Toc521300284}DyK _Toc521300285}DyK _Toc521300285}DyK _Toc521300286}DyK _Toc521300286}DyK _Toc521300287}DyK _Toc521300287}DyK _Toc521300288}DyK _Toc521300288}DyK _Toc521300289}DyK _Toc521300289}DyK _Toc521300290}DyK _Toc521300290}DyK _Toc521300291}DyK _Toc521300291}DyK _Toc521300292}DyK _Toc521300292}DyK _Toc521300293}DyK _Toc521300293}DyK _Toc521300294}DyK _Toc521300294}DyK _Toc521300295}DyK _Toc521300295}DyK _Toc521300296}DyK _Toc521300296}DyK _Toc521300297}DyK _Toc521300297}DyK _Toc521300298}DyK _Toc521300298}DyK _Toc521300299}DyK _Toc521300299}DyK _Toc521300300}DyK _Toc521300300}DyK _Toc521300301}DyK _Toc521300301}DyK _Toc521300302}DyK _Toc521300302}DyK _Toc521300303}DyK _Toc521300303}DyK _Toc521300304}DyK _Toc521300304}DyK _Toc521300305}DyK _Toc521300305}DyK _Toc521300306}DyK _Toc521300306}DyK _Toc521300307}DyK _Toc521300307}DyK _Toc521300308}DyK _Toc521300308}DyK _Toc521300309}DyK _Toc521300309}DyK _Toc521300310}DyK _Toc521300310}DyK _Toc521300311}DyK _Toc521300311}DyK _Toc521300312}DyK _Toc521300312*Dd UL  C (Aloadlibb)SK)8V`cnpI)dn)SK)8V`cnpIPNG  IHDR#}BsRGBPLTE@ @ @@@@@``@``@@@@ @ @ @ @@ @ @ ` `@ ` ` @ @ @ @ @@@@@@ @ @@ @ @@@@@@@@@@`@`@@`@`@@@@@@@@@@@@@@@@@@@@``@``` ` @` ` `@`@@`@`@````@``````@````@````@````@``@ @ @@@@@``@``@@@@@ @ @@@@@``@``@@@@@ @ @@@@@``@``@@@@@ @ @@@@@``@``@@@@0 pHYs+&FIDATx^ݑ8ڇ}LN. frq9HGDI[EקDx}}>%ؒ2"H`Kˆc*[S Oy/{1f(8 #@ApdweWkI.D1+rKD*^p,#%V'Ē\J^?4#7#k;>xB\&f!׆F<(wꣳV%VRH#/a_MoG>T L|(1[} ( m,ϋGO1h$xPҌ1#dG ,6GR(@C3}x?zQ1G5&?Q.qI"hCbk^`;mz5m4Kbܞ0$mUiۓF #mr{^ȓWaMnOKy*I{ #Ov[_6=i/aIn0&'u"# =I=K0"qH0a€Fe!Nt/nج2n:7],|bWZ;Baܼ;مCܞܼ_xD9~/rtd̡#(՞Dس7Ǭ,_:+ϳ&5N#,cAasX_cr.Bŷ#N=܎}TN}04{a^1#ؗj } X Cvac$jopq=94kP6bVu]5=X1׌XAx3jrwie$>}%7L+%\OgGX9Z;0r=bah_x)Fjٳ]V5GM.'iWy %B;!  Fn]F #7T. #zꄑ*upau :K`ް:aJ%ad@oX0rC02X7NRwI,V'P$  Fn]F #7T. #zꄑ*upau 1t ֲ7Y]ZoAI@IH #@NHNB]rFrˆ00ld&0qnQ$}Se~d>Ռ'wIB"FfNyX,%,cqpiŒfƢ#E#?{#1GI|02 :B0pHsD>X`ѾIB9 H팘ӜyN{=n1)a{r#Ŭ`z|'4'Ѓ8#Qi`hq5aG#SL$`@(a> ?3@! cgISދG#XOct ~1g~Zƈ3=v#r3C1kcVfG2-a<ˈ)d$lu`\ BLBHܸhx?u+<+94h<.5؈> *=F<[h]|w q1+ 7_xap}\x.@%. LLv80:=f=NpBQkjF6ǾUL#/~QUe:0r/tb_Se|MU%3HU0JQ#:yj1 sn(UVv%  9 #9 (X)NfQM[%XxQ J7=Ż"adboU0r+uN02ET:tF"[U*JS:Hp] ^U-uyL3Gy~6&,ade6ad = ade6ad = ade6ad = ade6ad = ade6ad = ade6ad = ade6ad = ade6ad = ade6ad = ade6ad = ade6aRf1'~여1;G{>Fl l! (l6{#^Ob#k ^#5jޞrIט+`ĺu!zK0V~H<4ӃHh1Ȏ`{q<¹XyeFRH:ck0b lg]iѯ=*ьdR`O%q%vjqd:Z<57 ^tKEXg⺽(%aBüu~>3C$3jY*lkՖ>)˴oag >=-ňRg$} %dnsAL[A_n0tV8pL֖UO3bȰb yFtFd bK[Fp/8Yma=vS[Ygw߄M3a/9ڇELQӝbpj-7{t:x!FL^2`qADv$ =La-o lb,r:I,h?7G501X;bo<@p:w6J`waJ0)#O9 v/ȣ2vNbC+0ғrğ%auOaJc4PKO`4^)z2v7`/f tNH5(:]V ֖zb$cTQS&R=;~%t@KiϞ/HA*h=;`x!F6_\b4eK̂)BmdE-HQR4f9FodE1bL|{hwVƎ9 jc :4UE{Z<h]IݧcT%# dNwfVvfug l俜#͢vd@V'*j,; WbG1^ yDbyGha@ON-ⴛGלo9f#RYfa\Gglc"O6!{.AܸMھf'al͗#}F_{:Zx- ,u":Fy,ѓiGרqҘMdk. irH]#D )goalOba `dnrtx֨ejyz'c(\#nYqV=-^Ȏ*-xdC: "/H?#l0mߜBݓScdRքIi2 qg@#Ƞa˧" 362y᧢5vVjGWZ c!*!0#viy€ȣzVGsH^n'A+ADGؑu0rs\a::Yq`I;g.%9Ij 俜ȳZ#L3/u=;խS'GO`xF]cʿ'c'mn=Ġ@ObdnƎ? ->/q9F\̎y ړѥ;| \+kHQku/Y9FE0՜cM'c6DXiG3 :٫H2%0֐x;Ҙ10vd\-)Ќiviƿ=-LjR ܈| U-vFF1^31bV 97ǨɌHˊ>IQrjFLt}0r:0rs,kKܟ6>uvRjbJoa*S}?SC)ėQ}_J+0Ⳬ?'}##-w]xcDe乀@ϽW#ڌ<؊(@0iˣm0V=׈ѫ ,F(x>#lݥQ@錈m4k}AaFĎ#F+1bW!vl;fNU:eb>t_#vdxĆҧ3ry|,98tFĎs-_ #gg<\9n55gUÎ~7sh~:t< x΍se푐]ᓮd~1-aDI@I__R13HW0"$ $s5IIH #@NHNB]rFrq%oF.@nE h #9\`0r%Dad\x"7^ۚmG̭L+N+){awQJ6_FtR+cEN#m ^evh_sNhb$k;2A;kT#d?ĎZ$c$9A;kro~ЈаWƾkhVγ9aXu}FX0nMw@bֵ;5rf0oZ0rS02P7JbvkZUkね|*HX\y+|?F-N5Fh7dd`BU?ꎌqVǰT@ ; ۭ;RiG j&mGtRO[m5 0;0H&Ԃ)fPay ;2RHzMuǒC&hנ''',fxC 7cS<9 箅؍ DH%h<Q[QaJ#00aN !=Q [ÐIcd"t3bn;ZS] < c w,M2J"{`_ng3#;bi`E+d[Ey~?Xd;ّ*6A1z5,g5 cRѱK|Mhg] ,t! ~1nHaA+6`ڍapA;RnaHFu6kDm~(F܊ î@TREUEQz1Ҧ{ #H*ad#<T t;w^^o{A#SʅGHYXyګ:-T둅ad%Wbςz,.#_p%\^" 4f9\ofJZ!D#ݵ IENDB`V@V Normal $1$a$$CJKHPJ_HaJmH nHsH tHX@X Heading 1$$dBTJ@&5CJ,KH,\aJ,`@` Heading 2$$d@&5CJ OJPJQJ\aJ >@> Heading 3$$@&a$CJ>@> Heading 4$$@&a$CJ >@> Heading 5$$@&a$CJDA@D Default Paragraph FontVi@V  Table Normal :V 44 la (k@(No List 4L@4 DatedVD ^dCJ4B@4 Body Text$a$D @D Footer$ 9r G$a$CJaJ&@& TOC 1.)@1. Page NumberV@BV Header'$ 9r &dG$Pa$CJaJ2@2 TOC 2VD^2@2 TOC 3HVD^H22 TOC 4VDX^22 TOC 5VD ^22 TOC 64VD^422 TOC 7 VD^ 22 TOC 8| VDx^| 22 TOC 9 VD@^ 6U@6 Hyperlink >*B*phFV@F FollowedHyperlink >*B* ph %-6>HPW^hopw!5DKWdn  +5?KSY_jt~)#   9 :SO=HRMTDN_`acbfjsnlkvw~}{  %-6>HPW^hopw!5DKWdn  +5?KSY_jt~      !"#$%&'()*+,-./0123456789:;<=>6 )#2Liw.I9llO9%q]D F 0 2 3 4 5 6 J T U W Y Z \ ] _ ` d f g j l n o s u v y { ~  ; < = I T U Z [ ] ^ b c d f g h i m 4 5 6 @ A B C D E F G H I U V W X \ <Unw>H~IUsBdmWa !"#$%&*,-./0123;i~!rp/qCW1>ex(9JYlq{ =tk/<Gh   . / B J L M O P R S U V _ ` a b c d o p q r z { !!!!!!!!%!&!,!-!9!:!;!A!H!I!J!P!Z![!\!b!c!d!i!j!k!q!r!s!y!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" " """"""%"."/"0"6"@"A"B"G"T"U"^"_"`"m"n"o"t"u"v"|"}""""""""""""""""""""""""""""""""""""### ###### #&#'#*#0000000000 0 0 0 000000........0.............0...0......0.0.0.0.0.0.0.006 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J 0J  0J  0J  0J 0J 0J 06 (0= 0I 0I 0I 0I 0I 0I 0I 0I 0I 0I 0I 0I 0I 0I 0I (0= 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 (0= 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 (0= 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 (0= 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 00 0 0 (0U 0n 0n 0n 0n 0n 0n 0n 0n 0n0n(0U 0 0(0U 0> 0> 0>(0U0(0U 0I 0IIIIIIIII(0U 0 0 0(0U0d(0U0(0U00 0W0W0W0 00000000000000000000003030i 0i 0i 0i 0i0000000000(0000(0 00 00(000000000000000000000000000000000000000000000000000000000y00y00y00y00y00y00y00y00y00y00y00@0@0y00@0@0y00y000y00y00y00y00y00y00y00y000y00y00y00y00y0y00y0000y0000y00000y00y00y00y0000y0000y000y0000y00y0000y00y0000y0000y0000y00y00y0000y00y0000y00y0000y0000y0000y0000y0000y00y000y0000y00y00y000y000y000y000y000y00y00y00y000y000y000y000y000y000y000y000y0y0 '''*< b^ J8RhVȈ !"#$%&'()*-ELR>d "T#$)hmfyv8BȈ+,./012FGHIJKMNOPQƈ2GIi~ 4679Ye?Kgijl 1Kgijl#.JLMOo| 4679Yc "#%EPlnoq&<XZ[]} # ? A B D d ~  % A C D F f   * - . 0 )# X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%X%̕ !#*!!8@F2x@1(  r 9 6 9))?  r = 6=?PS# l D 0D))?& l H 0H?PS*  M HM 3))? ~ N BN̙))? ~ O BO))?% l" R 0R))?$ l S 0S))?. l T 0T))?- NB U S D)NB V S D(NB W S D'NB X S D,NB Y S D+NB Z S D"NB [ S D!NB \ S D NB ^@ S Dl _ 0_?PS/ l ` 0`))?4 l a 0a?PS9 ~ c Bc̙))?2 NB d S D6NB e@ S D5 f Hf 3))?1 NB g S D7NB h S D8NB i S D0l b 0b))?3 r : 6:))? l j 0 j?PS:  l k 0$k))?? $l l 0#l?PS> #NB m S DA n H"n 3))?@ "NB o S DBNB p S D=NB q S D<l" s 0!s))?; !l v 0&v))?G &l w 0'w))?F 'NB x S DENB y S DDl { 0+{?PSO +l } 0)}))?Q )l ~ 0(~))?L (NB  S DPNB  S DJl  0.?PSV .~  B-̙))?I -NB  S DU  H, 3))?M ,NB  S DTl  0*))?N *NB  S DKNB  S DSNB  S DRr  6?PS r  6?PS r  6?PS r  6?PS  r  6?PS r   6 ?PS r   6 ?PS r   6 ?PS r   6 ?PS  r  6 ?PS  r  6 ?PS  r  6 ?PS  r  6?PS  TB @ c $DTB @ c $D TB @ c $D TB @ c $DTB @ c $DTB / c $DTB 0 c $DTB 1 c $DTB 2 c $D TB 3 c $DTB 4 c $DTB 5 c $Dr  6/?PS /`  c $0?PSH 0  zB CDE F @#" NB z S DC@  $' W`  C %  %`  C 1 $ ' 1`  C 2r$Q' 2`  C 3^c$3' 3`  C 4T$$Q' 4TB B C DX $TB B C Dg `$TB  C D^ $TB  C DX !$t T N {*>*4 #" Xx  05R{*+ 5x  06h-. 6x  07<i-#. 7x  08N V1< 4 8x  09X e14 9x  0:&V14 :x  0;V1l4 ;x  0? G13 ?x  0>*V1!4 >x  0=!G1%3 =x  0<%G1>*3 <TB B C D*+-TB  C D2+ !-TB B C Dl .1TB B C D<.1TB  C D /1TB  C D.41TB B C D>w. V1TB B C D.!t1TB  C D!.#V1TB  C Dt"Y.'V1B S  ?U W Z ] ` a b d g h j l o p q s v w y { |  U V W X [ ^ _ ` d I J K L M N O P Q R S *)#> $t/t> t0Zvt,,t $N "t> l@t1&Bt2 tN tuut2~t3tP< t\ Z.t3 tP t \ t3tU,t4utB Utnl @t j ^t3t5 %t z t9 4htMv4t:4/tN4 /t^44t\4xt[ 4 xtZU4Uxt=vtRZLtO-tDb@ tWtV  tUo o tHb4ZtY@tX[[@tTT2tS t_;A zti'z'Ntf N +tcNv"ItbNBIt`N+te@tda.a:tg.:th'.':ta:tj tstq,tptl'M tk' tn tm@@Dto tzo p ty tx twTytv[9ytF tn.tN N tMM t~ytytn.t{ htt} yt|$ tN N DtDtMMDtvt[xp tFL6# t ' _Toc510668052 _Toc511114596 _Toc510668054 _Toc511114598 _Toc521300279 _Toc511114599 _Toc521300280 _Toc521300281 _Toc521300282 _Toc521300283 _Toc521300284 _Toc521300285 _Toc521300286 _Toc521300287 _Toc521300288 _Toc521300289 _Toc521300290 _Toc521300291 _Toc521300292 _Toc521300293 _Toc521300294 _Toc521300295 _Toc521300296 _Toc521300297 _Toc521300298 _Toc521300299 _Toc521300300 _Toc521300301 _Toc521300302 _Toc521300303 _Toc521300304 _Toc521300305 _Toc521300306 _Toc521300307 _Toc521300308 _Toc521300309 _Toc521300310 _Toc521300311 _Toc521300312//6 J = I 6 Un>IdW3iC *#  !"#$%&22I S H S ? mvGTl`:}.V *# !7;KLhivwz~ -/0129 ; > ? C I N S      A H O S i l o ~ 3 < ? X [ 6:;<CGMSTY^kmtvw|#&'*3=DGHOP`bgpqz}~+25>HOTUrs"+.12ABKTcjlm 29ABHV[`bcfvy6:<O^_ghmr !39:=qr| ,dhor  )*23;<=BLYZj .0@pr*+013478;DGQTZ_demty| IVX+0:GMPTd '0NSUZqv <Arz}+?d *+,089EFVW\fjl $(.2;?@AFJKRklq     " - 7 : D I J J L L M M O P R S U V q r ! !!!!!!$!&!+!-!8!;!@!A!G!Q!X!d!h!k!p!z!!!!!!!!!!!!!!!!!!!!" """&","7">"B"F"o"s"v"{"""""""""""""""""""""""""""""""""### # ########!#%#*#hivw-/2 i l n 3 X [ ] ;DNw=H}~HUrsABPmVb&)<h !qror+0BX0>Dejx}rzl.2;?FJgk   - D I J J L L M M O P R S U V q r y { !!!!!!!$!&!+!-!8!;!@!A!G!P!Y!d!h!k!p!y!!!!!!!!!!!!!!!!!!!!!!!!!!" """%"-"6"?"B"F"G"S"o"s"v"{"}""""""""""""""""""""""""""""""""""""# # ######## #%#*#333333333333333333333333333ALiw.I nw>HIsJ J L L M M O P R S U V q r y { !!!!$!&!+!-!8!;!A!J!O!P!Y!\!a!d!i!k!p!s!x!y!!!!!!!!!!!!!!!!!!!!!"" "0"5"6"?"B"F"U"]"o"t"v"{"}"""""""""""""""""""""""""""######%#*#J J L L M M O P R S U V q r *#ur1܆ L "VBn{ 41$8X6{'¡|l5A*eg8 j<8zy>zd[CE &"F C>Kf+V$NYDMztj\VtN* \^`\OJQJo(l d\d^d`\OJQJo(n \^`\OJQJo(u \^`\OJQJo(l P \P ^P `\OJQJo(n  \ ^ `\OJQJo(u  \ ^ `\OJQJo(l <\<^<`\OJQJo(n \^`\OJQJo(u  ^ `o(0t0t^t`0o( \^`\)\^`\.4\4^4`\. \ ^ `\)| \| ^| `\. \ ^ `\.\^`\)h\h^h`\. \^`\OJQJo(l d\d^d`\OJQJo(n \^`\OJQJo(u \^`\OJQJo(l P \P ^P `\OJQJo(n  \ ^ `\OJQJo(u  \ ^ `\OJQJo(l <\<^<`\OJQJo(n \^`\OJQJo(u\^`\.H\H^H`\)\^`\.\^`\.4\4^4`\) \ ^ `\.| \| ^| `\. \ ^ `\)\^`\. {^`{o(0H\H^H`\)\^`\.\^`\.4\4^4`\) \ ^ `\.| \| ^| `\. \ ^ `\)\^`\.^`o(0t0t^t`0o( \^`\)\^`\.4\4^4`\. \ ^ `\)| \| ^| `\. \ ^ `\.\^`\)h\h^h`\. H\H^H`\OJQJo(l \^`\OJQJo(n \^`\OJQJo(u 4\4^4`\OJQJo(l  \ ^ `\OJQJo(n | \| ^| `\OJQJo(u  \ ^ `\OJQJo(l \^`\OJQJo(n h\h^h`\OJQJo(ut0t^t`0o( \^`\)\^`\.4\4^4`\. \ ^ `\)| \| ^| `\. \ ^ `\.\^`\)h\h^h`\.y0y^y`0o( \^`\)\^`\.9\9^9`\. \ ^ `\) \ ^ `\.% \% ^% `\.\^`\)m\m^m`\. H\H^H`\OJQJo(l \^`\OJQJo(n \^`\OJQJo(u 4\4^4`\OJQJo(l  \ ^ `\OJQJo(n | \| ^| `\OJQJo(u  \ ^ `\OJQJo(l \^`\OJQJo(n h\h^h`\OJQJo(ut0t^t`0o(  \^`\OJQJo(l\^`\.4\4^4`\. \ ^ `\)| \| ^| `\. \ ^ `\.\^`\)h\h^h`\.t0t^t`0o( \^`\)\^`\.4\4^4`\. \ ^ `\)| \| ^| `\. \ ^ `\.\^`\)h\h^h`\.F^F^F`^o( \^`\)\^`\.4\4^4`\. \ ^ `\)| \| ^| `\. \ ^ `\.\^`\)h\h^h`\.t0t^t`0o( ^`o(.\^`\.4\4^4`\. \ ^ `\)| \| ^| `\. \ ^ `\.\^`\)h\h^h`\.&"F{'<8Kf+V1$t ztjVl5A*[CEy>Yg8ur          (6                          7?         (6                  (6        :                  (6         (6        N         (6x@u       d-P2i@H )#`` `````````fUnknownGz Times New Roman5Symbol3& z Arial;SimHeiўSOE& Century Gothic;SimSun[SO;Wingdings 1h,S&&+TH y:y:!-!),.:;?]}    & 6"0000 0 0 00000 =@\]^([{  0 0 00000;[d: :  2QHP?d-P2 FPGAAm zcWShehui s201guestL           Oh+'0 $ D P \ ht|FPGA设计流程指南hehuiNormal s201guest72Microsoft Office Word@2^@n@ +i@`R}3y՜.+,D՜.+,D hp|  hw::  FPGA设计流程指南 TitleL  8@ _PID_HLINKSA 7 _Toc5213003127 _Toc5213003117 _Toc5213003107 _Toc5213003097 _Toc5213003087 _Toc5213003077 _Toc5213003067 _Toc5213003057 _Toc5213003047 _Toc5213003037 _Toc5213003027z _Toc5213003017t _Toc5213003006n _Toc5213002996h _Toc5213002986b _Toc5213002976\ _Toc5213002966V _Toc5213002956P _Toc5213002946J _Toc5213002936D _Toc5213002926> _Toc52130029168 _Toc52130029062 _Toc5213002896, _Toc5213002886& _Toc5213002876  _Toc5213002866 _Toc5213002856 _Toc5213002846 _Toc5213002836 _Toc5213002826 _Toc521300281  !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxz{|}~Root Entry F'3Data TI1TableyWordDocumentkSummaryInformation(DocumentSummaryInformation8CompObjq  FMicrosoft Office Word Document MSWordDocWord.Document.89q