FPGA|CPLD|ASICwilliam hill官网
直播中

愤怒的小青年

12年用户 65经验值
私信 关注
[经验]

Xilinx ISE 12.2 调用 Modelsim 进行行为仿真详解

Xilinx ISE 12.2  调用 Modelsim 进行行为仿真详解
最近闲来无事,整点东西,以飨各位。
第一步:新建工程:
  File->New Project 创建工程 cnt_for_sim,操作如下图。
1.png
2.png
3.png
4.png

第二步:新建文件:   Project->New Source 创建文件 cnt_for_all.v,操作如下图。 5.png
6.png

7.png

8.png
第三步:完善 cnt_for_all.v,如下:   module cnt_for_all(      input clk,      input rst_n,     output [7:0] cnt_o      ); reg[7:0] cnt; assign cnt_o = cnt; always@(posedge clk or negedge rst_n) begin  if(!rst_n) cnt <= 8'd0;  else cnt <= cnt + 1'd1; end   endmodule  第四步:综合文件:    双击 Synthesize – XST,操作如下图。

9.png

第五步:生成 verilog test bench 文件:    右击要仿真的文件 cnt_for_all.v,选择New Source,操作如下图。 10.png

11.png

12.png
第六步:完善 tb.v 文件:   操作如下图:

13.png
  module tb; // Inputs   reg clk;   reg rst_n;    // Outputs   wire [7:0] cnt_o;    // Instantiate the Unit Under Test (UUT)   cnt_for_all uut (    .clk(clk),     .rst_n(rst_n),     .cnt_o(cnt_o)    );    initial begin   // Initialize Inputs  clk = 0;   rst_n = 0;    // Wait 100 ns for global reset to finish   #100;          // Add stimulus here   rst_n = 1'b1;   end      always #10 clk = ~clk; endmodule
第七步:编译行为仿真库:    双击 Compile HDL Simulation Library,操作如下图。
14.png
注:此后的任何工程,功能仿真都无需执行这一步了。因为编译的库直接在 ISE的安装目录里创建。 第八步:启动 Modelsim仿真:    双击 Simulation Behavioral Model,操作如下图。

15.png

16.png
注意:在 ISE 中调用 Modelsim,需要设置其集成的第三方工具路径。详细操作如下: Edit->Preferences,操作如下图: 17.png





回帖(6)

高玲玲

2012-10-31 15:10:02
好帖子,很适合我这个刚刚接触的人
举报

ci5280

2012-11-5 12:08:05
好的
举报

静水

2012-12-8 21:54:28
好帖子,系诶些
举报

静水

2012-12-8 21:55:53
第七步出现这样的错误是什么原因呢?
ERROR:Compxlib - COMPXLIB[sim]: Unable to automatically find executables for simulator 'mti_pe' from the following paths:
举报

liushishan

2012-12-9 09:58:25
谢谢分享
举报

土拨鼠小cc

2014-9-2 10:56:00
。。。。。。。。。。。。。。。。。。
举报

更多回帖

发帖
×
20
完善资料,
赚取积分