使用了pynq z1,用了微相和正点原子的纯pl端程序都不行,结果都是无法驱动。但是可以排除不是显示器和线的问题,因为使用有一个驱动ov5640随后hdmi显示的程序,是能正常输出hdmi信号的。请教各位大师,是什么情况呢,为什么纯pl端驱动hdmi失败。
xdc文件如下
`
create_clock -period 8.000 [get_ports clk]
set_property PACKAGE_PIN H16 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN L19 [get_ports rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports rst_n]
set_property PACKAGE_PIN L16 [get_ports hdmi_tx_clk_p]
set_property PACKAGE_PIN K17 [get_ports {hdmi_tx_chn_b_p}]
set_property PACKAGE_PIN K19 [get_ports {hdmi_tx_chn_g_p}]
set_property PACKAGE_PIN J18 [get_ports {hdmi_tx_chn_r_p}]
set_property IOSTANDARD TMDS_33 [get_ports hdmi_tx_chn_r_p]
set_property IOSTANDARD TMDS_33 [get_ports hdmi_tx_chn_g_p]
set_property IOSTANDARD TMDS_33 [get_ports hdmi_tx_chn_b_p]
set_property IOSTANDARD TMDS_33 [get_ports hdmi_tx_clk_p]
`
更多回帖