FPGA|CPLD|ASICwilliam hill官网
直播中

hgzx01

10年用户 18经验值
擅长:可编程逻辑 EDA/IC设计
私信 关注
[问答]

FPGA与AD9361通信

有没有大神进行FPGA和AD9361的通信配置。
现在我看了AD9361的英文手册,寄存器手册仍在进行当中。因为不是做通信的,所以比较迷糊,感觉初始化配置如果想自己写都非常的复杂。
手里有AD9361的应用软件,知道建议使用应用软件产生程序脚本来进行初始化的配置。
采用的是Xilinx的SPARTAN6 FPGA板子,用SPI通信协议来驱动AD9361,但是感觉完全不知道怎么将配置的文件和SPI通信协议结合起来。因为问得很基础,所以ADwilliam hill官网 里面都是让去看手册,但是感觉并没有什么用。
有没有进行相关工作的大神,给我一点启发或者学习方面的建议。
已退回2积分

回帖(36)

farfirst

2016-8-2 16:59:47
试试状态机能不能解决
举报

hgzx01

2016-8-3 15:20:43
引用: farfirst 发表于 2016-8-2 16:59
试试状态机能不能解决

呃,写这个的状态机应该是下下一部的工作、先得驱动AD9361,相当于看这东西没问题,可以用,然后在初始化,然后进一步再是写状态机吧。我现在就是迷糊这个配置应该用哪些,怎么写进去
举报

farfirst

2016-8-4 11:39:28
首先是外围为供电威廉希尔官方网站 或者是驱动威廉希尔官方网站
然后是根据数据手册写时序逻辑就可以了
举报

水淼

2016-8-8 16:01:16
你有板子吗?我有程序,没板子。
举报

hgzx01

2016-8-9 10:27:55
引用: xu121422 发表于 2016-8-8 16:01
你有板子吗?我有程序,没板子。

有有有,我这里有板子、你加我扣扣交流下?  360451561
举报

runileking

2016-8-9 20:44:29
纯逻辑配置调试比较麻烦,不知道讲配置文件与SPI结合起来的是什么意思,纯硬件配置应该挺好理解的,你就把那些寄存器的值也进去就行,实际上就是写寄存器
举报

wujinda

2017-1-5 22:17:55
引用: xu121422 发表于 2016-8-8 16:01
你有板子吗?我有程序,没板子。

你好,可以交流一下么,可以参考一下你的程序么
举报

H

2017-1-10 09:58:49
您好,您的AD9361应用软件可以给我发一份吗?
举报

北伐zgl

2017-1-10 13:04:45
菜鸟路过。。。。。。。。。。。。。。
举报

liujl

2017-2-6 23:08:38
用AD9361的配置软件生成配置寄存器的值,通过SPI写入,就是这样。
举报

vincent_zh

2017-2-9 12:26:44
这个东西说起来是简单,几句话就说出来了,用软件生成配置,存到RAM里,然后通过SPI写进去就OK。但是真做起来还是挺老火的,不是很熟悉你真还不一定能做出来,我就给你说吧,现在在外面给别人做一个AD9361的接口配置,都可以卖到2W块钱,真事儿。。。
举报

FFurnace

2017-2-13 19:02:02
我之前做过用ZedBoard写AD9361,用的是将寄存器变量网口写入Flash中再传入AD9361中。用Spartan6我觉得也可以将寄存器数据写入Flash中,然后FPGA调用通过SPI接口按顺序写入AD9361中,再具体一点我就也不清楚了,只给提供一个思路吧
举报

薛学明

2017-3-27 21:02:24
引用: xu121422 发表于 2016-8-8 16:01
你有板子吗?我有程序,没板子。

啊啊我也最近要做,不过是要用K7的板子,作为新手能不能分享下我参考参考
举报

倪坤臣

2017-4-9 17:00:50
我恰好正在做这部分内容,基本实现用FPGA通过SPI写寄存器到AD9361。其实就是写两个HDL模块,将寄存器的地址和数据送到AD9361。。。
举报

倪坤臣

2017-4-9 17:03:18
基本就是将,AD9361的寄存器的配置信息(地址+数据)先存入rom中,然后走一个状态机,一步一步向AD9361里写。
举报

ASDAASDASD

2017-4-10 13:16:15
这不就是一款射频卡吗,研究datasheet然后研究时序自己编写就行了吧应该,初始化没那么复杂吧,没听说过能将脚本放入FPGA的
举报

asadad

2017-4-10 17:50:41
看看怎么弄的
举报

花荣

2017-6-2 19:52:38
引用: nkc233 发表于 2017-4-9 17:00
我恰好正在做这部分内容,基本实现用FPGA通过SPI写寄存器到AD9361。其实就是写两个HDL模块,将寄存器的地址和数据送到AD9361。。。

您好,问一下,ARM的初始化程序主要干了点儿啥?跟FPGA用SPI写寄存器到AD9361关联是啥?
举报

虽弓你好

2017-6-3 09:26:42
可以将需要配置的寄存器的值存在rom中,然后将这些值从rom中读出来,然后将其拆成SPI的模式送到接口。
举报

更多回帖

发帖
×
20
完善资料,
赚取积分