FPGA|CPLD|ASICwilliam hill官网
直播中

咫尺天涯001

8年用户 20经验值
擅长:可编程逻辑 电源/新能源 嵌入式技术 处理器/DSP
私信 关注
[问答]

QuartusII中四选一选择器波形仿真问题

我照书本写了一个4选1选择器的Verilog程序,进行波形仿真时,出现的波形和理论上的不一致,这是什么原因?A1A0分别为00,01,10,11时,Y对应输出应该是D0,D1,D2,D3的波形,但结果是00,11段对了,01和10段又错误。哪位大神可以帮忙指点迷津,兄弟感激不尽!

Verilog程序                         波形

回帖(7)

杜若儿

2016-10-8 18:33:07

最佳答案

你仔细看看你的A0和A1是不是写反了,然后01对应的是D2,10对应的D1
举报

for-everything

2016-10-8 20:04:07
不懂,刷个分...
举报

小白报道

2016-10-9 13:04:57
没有错误,A1A0=01时Y=D1,A1A0=10时Y=D2
举报

codemonkey

2016-10-9 13:22:07
你这个4mux1应该是个组合逻辑,你的always模块形式是组合逻辑,但是用的非阻塞的赋值方式。你不<=换成=试试。还有一个我想知道begin: MUX41是什么意思?
举报

黄亚男2019

2016-10-9 14:55:05
只是你的wave 窗口中的A1  放在下面了,你可以把它移上面去,
举报

咫尺天涯001

2016-10-16 11:01:37
此问题以解决,多谢各位相助!
举报

舞影158188

2017-6-15 10:53:40
是什么回事?怎样解决
举报

更多回帖

发帖
×
20
完善资料,
赚取积分