FPGA|CPLD|ASICwilliam hill官网
直播中

蒋源明

11年用户 44经验值
擅长:可编程逻辑,测量仪表,嵌入式技术,制造/封装
私信 关注
[经验]

【FPGA开发者项目连载】项目名称:基于ministar开发板的多通道采集威廉希尔官方网站

`
1. 简介
       本项目是基于ministar开发板的多路采集版,ministar开发板主控芯片为国产FPGA厂商高云半导体的小蜜蜂GW1NSR-4C芯片,这是一款内含Cortex-M3硬核处理器的低功耗FPGA芯片,内部逻辑资源有4608个四输入查找表以及3456个寄存器,集成了Block RAM、闪存、乘法器、HyperRAM等等丰富的资源。因时间关系项目主要依靠GW1NSR-4C的FPGA(PL)部分来进行温度的收集和串口的发送,后续将在实际产品设计中增加处理器以扩展LOT模块的应用。
2. 开发环境
    高云的FPGA芯片提供了一套完整的工具链,使用Gowin云源软件Gowin_V1.9.6Beta获取lincese用于对FPGA进行的逻辑开发编程和烧录
3. 系统结构
本项目中的硬件主要由ministar开发板,18B20半导体数字温度传感器,MAX3490转换芯片组成。开发板搭载供电系统和FPGA芯片,通过加插件的IO连接至18B20传感器和MAX3490TTL转换RS422芯片,整体如图所示
                         未命名文件.jpg             
4. 软件设计
      本项目中暂时未应用ARM处理器,因此主要为FPGA辑的设计和组织,需要实现的moudle主要有UARTI2C的通讯逻辑,首先FPGAIIC逻辑模块读取18B20传感器处由IIC接口读取温度值,存于例化的RAM中,随后UART逻辑模块从RAM中读取温度数据发往上位机,上位机由串口助手进行显示,整体逻辑由VerilogHDL语言编写,架构如下图所示.
LOGIC.jpg
5. 心得体会
    原本计划用MCU扩展FPGA逻辑进行采集和数据上传,奈何年中公司部分项目交付节点压力较大,仅使用开发板做了个Demo验证高云FPGA功能和开发,首先高云的开发环境还是比较容易上手的编译速度非常快,只是license的申请比较麻烦不利于推广,其次是moudle不能像ISE一样生成install的格式,已经有的moudle或者IP还需要自己来写比较繁琐希望能够后面版本能够改进,整体这款FPGA从资源上非常适合中小规模的单片机及外围逻辑的soc化设计,为开发者提供了一种灵活的架构选择,后续还是希望高云能推出更多逻辑资源的FPGA产品可以适应硬件加速运算等功能的应用,推动国产FPGA的架构和应用逐步去赶上IntelAMDlattice等巨头的脚步
Ministar_test.rar (249.71 KB)
(下载次数: 7, 2021-6-27 17:58 上传)






` hhhh.jpg

更多回帖

发帖
×
20
完善资料,
赚取积分