【verilog每日一练】如何区分<=表示的含义 - FPGA开发者技术社区 - 电子技术william hill官网 - 广受欢迎的专业电子william hill官网 - 威廉希尔官方网站
分享 收藏 返回

Mill 关注 私信
[问答]

【verilog每日一练】如何区分<=表示的含义

在verilog语句中,非阻塞赋值和小于等于均使用符号“<=”,如何区分<=所表示的含义?

c66368465a6238047e0ed1cd7fd39f3.png

回帖(17)

Mill

2023-8-1 17:25:38
答案:根据“<=”所在语句,在赋值语句中表示非阻塞赋值,在条件判断语句中表示小于等于
解析:如以下代码中,if后面括号中的语句表示条件判断,<=表示小于或等于,其余为赋值语句表示赋值。
1

h1654155283.0188

2023-8-1 18:38:09
主要看<=所处的“代码环境”,如果此段代码是判断,则<=是关系运算符,如果此段代码是赋值,则<=是非阻塞赋值
1

jf_1137202360

2023-8-1 18:49:06
通过语义区分:
在表达式(expression)中,简单记忆就是在括号中,表示逻辑比较运算符;
在语句statement中,表示非阻塞赋值。

zealsoft

2023-8-1 19:13:04
通过上下文可以区分<=符号的含义。在赋值语句中,<=表示非阻塞赋值;在比较运算中,<=表示小于等于运算符。根据它们出现的上下文,可以确定<=符号的具体含义。

更多回帖

×
发帖