【每周一练】盘古1K开发板 练习八:PWM模块设计 - FPGA开发者技术社区 - 电子技术william hill官网 - 广受欢迎的专业电子william hill官网 - 威廉希尔官方网站
分享 收藏 返回

[文章]

【每周一练】盘古1K开发板 练习八:PWM模块设计

本文,我们通过脉宽调制技术来实现"呼吸灯",实现LED的亮度由最暗逐渐增加到最亮,再逐渐变暗的过程。脉冲宽度调制(PWM Pluse Width Modulation),简称脉宽调制。它是利用微控制器的数字输出调制实现,是对模拟威廉希尔官方网站 进行控制的一种非常有效的技术,广泛应用于测量、通信、功率控制与变换等众多领域。

硬件说明

呼吸灯的实现设计简单,我们使用50MHz的系统时钟作为高频信号做分频处理,调整占空比实现PWM,通过LED灯LED1输出状态。

FPGA开发板LED灯.png

pwm原理.jpg

实现原理如上图,脉冲信号的周期为T,高电平脉冲宽度为t,占空比为t/T。为了实现PWM脉宽调制,我们需要保持周期T不变,调整高电平脉宽的时间,从而改变占空比。

  • 当t=0时,占空比为0%,由于LED为高电平点亮,所以为最暗的状态;
  • 当t=T时,占空比为100%,LED灯为最亮的状态;

结合呼吸灯的原理,整个呼吸灯的周期为最亮->最暗->最亮的时间。即t的值的变化:0->T->0逐渐变化,这个时间应该为2s。

pwm module block.jpg

呼吸灯设计要求呼吸的周期为2s,也就是说LED灯从最亮的状态开始,第一秒时间内逐渐变暗,第二秒的时间内再逐渐变亮,依次进行。

本设计中需要两个计数器cnt1和cnt2,cnt1随系统时钟同步计数(系统时钟上升沿时cnt自加1)范围为0T,cnt2随cnt1的周期同步计数(cnt1等于T时,cnt2自加1)范围也是0T,这样每次cnt1在0T的计数时,cnt2为一个固定值,相邻cnt1计数周期对应的cnt2的值逐渐增大,我们将cnt1计数0T的时间作为脉冲周期,cnt2的值作为脉冲宽度,则占空比=cnt2/T,占空比从0%到100%的时间=cnt2*cnt1=T^2=1s=50M个系统时钟,T=5000,我们定义CNT_NUM=5000作为两个计数器的最大值。

pwm坐标示意图.jpg

Verilog代码实现

根据上述的描述,可以设计呼吸灯模块如下:

module breath_led(clk,rst,led);

input rst;             //复位输出
output led;            //led输出

reg [24:0] cnt1;       //计数器1
reg [24:0] cnt2;       //计数器2
reg flag;              //呼吸灯变亮和变暗的标志位

parameter   CNT_NUM = 5000;	//计数器的最大值 period = (5000^2)*2 = 50000000 = 2s
//产生计数器cnt1
always@(posedge clk or negedge rst) begin 
	if(!rst) begin
		cnt1<=13'd0;
		end 
    else if(cnt1>=CNT_NUM-1) 
			cnt1<=1'b0;
	     else 
            cnt1<=cnt1+1'b1; 
	end

//产生计数器cnt2
always@(posedge clk or negedge rst) begin 
	if(!rst) begin
		cnt2<=13'd0;
		flag<=1'b0;
		end 
    else if(cnt1==CNT_NUM-1) begin //当计数器1计满时计数器2开始计数加一或减一
		if(!flag) begin            //当标志位为0时计数器2递增计数,表示呼吸灯效果由暗变亮
			if(cnt2>=CNT_NUM-1)    //计数器2计满时,表示亮度已最大,标志位变高,之后计数器2开始递减
				flag<=1'b1;
			else
				cnt2<=cnt2+1'b1;
			end
		else begin
			if(cnt2<=0)      //当标志位为高时计数器2递减计数
				flag<=1'b0;		   //计数器2级到0,表示亮度已最小,标志位变低,之后计数器2开始递增
			else 	
				cnt2<=cnt2-1'b1;
			end		

		end
	else 
		cnt2<=cnt2;                //计数器1在计数过程中计数器2保持不变
	end

//比较计数器1和计数器2的值产生自动调整占空比输出的信号,输出到led产生呼吸灯效果
assign	led = (cnt1<cnt2)?1'b0:1'b1;
`

endmodule

完成模块的设计后,在顶层模块中实例化上述模块,从而实现多个LED灯的流水灯效果。

module top(
    input clk,
    input rst_n,

    output [7:0] leds
   );

//led0呼吸灯实例
breath_led inst0(
    .clk(clk),
    .rst(rst_n),
    .led(leds[0])
);

//led1呼吸灯实例
breath_led inst1(
    .clk(clk),
    .rst(rst_n),
    .led(leds[1])
);

//led2呼吸灯实例
breath_led inst2(
    .clk(clk),
    .rst(rst_n),
    .led(leds[2])
);

//led3呼吸灯实例
breath_led inst3(
    .clk(clk),
    .rst(rst_n),
    .led(leds[3])
);

//led4呼吸灯实例
breath_led inst4(
    .clk(clk),
    .rst(rst_n),
    .led(leds[4])
);

//led5呼吸灯实例
breath_led inst5(
    .clk(clk),
    .rst(rst_n),
    .led(leds[5])
);

//led6呼吸灯实例
breath_led inst6(
    .clk(clk),
    .rst(rst_n),
    .led(leds[6])
);

//led7呼吸灯实例
breath_led inst7(
    .clk(clk),
    .rst(rst_n),
    .led(leds[7])
);

endmodule

根据硬件原理图添加相应的管脚约束如下图

FPGA引脚约束.png

流水灯的效果演示如视频所示。

总结

通过PWM波实现流水灯效果知识PWM波应用的一种场景,在电机控制灯其他领域,PWM波形的应用还是很广泛的。

流水灯显示

更多回帖

×
发帖